Portal de noticias y análisis "tiempo de la electrónica". Introducción a PTP Por qué necesita una hora exacta

Se han escrito muchos artículos sobre el conocido Protocolo de tiempo de red (NTP), algunos de ellos mencionan el Protocolo de tiempo de precisión, que supuestamente permite lograr una precisión de sincronización horaria de nanosegundos (por ejemplo, y). Veamos qué es este protocolo y cómo se logra tal precisión. Y también veamos los resultados de mi trabajo con este protocolo.

Introducción
El "Protocolo de tiempo preciso" se describe en el estándar IEEE 1588. Hay 2 versiones del estándar. La primera versión se lanzó en 2002, luego se revisó el estándar en 2008 y nació el protocolo PTPv2. No se ha conservado la compatibilidad con versiones anteriores.
Estoy trabajando con la segunda versión del protocolo, tiene muchas mejoras respecto a la primera (precisión, estabilidad, como nos dice la wiki). No haré comparaciones con NTP, la mera mención de la precisión de la sincronización, y la precisión de PTP realmente alcanza decenas de nanosegundos con soporte "de hierro", habla de una ventaja sobre NTP.
El soporte del protocolo "Iron" en diferentes dispositivos se puede implementar de diferentes maneras. De hecho, el mínimo requerido para la implementación de PTP es la capacidad de la pieza de hardware de registrar la marca de tiempo del momento en que se recibe un mensaje en el puerto. El tiempo ingresado se utilizará para calcular el error.
¿Por qué está alterado el reloj?
Los errores pueden aparecer desde cualquier lugar. Comencemos con el hecho de que los generadores de frecuencia en los dispositivos son diferentes y es muy poco probable que dos dispositivos diferentes funcionen perfectamente en cada reloj. También se le pueden atribuir las condiciones ambientales en constante cambio que afectan la frecuencia generada.
¿Por qué nos esforzamos?
Digamos que tenemos un dispositivo que funciona en condiciones ideales, una especie de reloj atómico que no se venderá en absoluto hasta el fin del mundo (por supuesto, al real, y no destinado al calendario maya) y nos dan la tarea de obtener al menos aproximadamente (con una precisión de 10 -9 segundos) las mismas horas. Necesitamos sincronizar estos relojes. Para hacer esto, puede implementar el protocolo PTP.
La diferencia entre una implementación puramente software y una implementación con "soporte de hierro"
Una implementación puramente de software no logrará la precisión prometida. El tiempo transcurrido desde el momento en que se recibió el mensaje (más precisamente, se recibió la señal para recibir el mensaje en el dispositivo) hasta la transición al punto de entrada de interrupción o devolución de llamada no se puede definir estrictamente. El "hardware inteligente" con soporte PTP puede establecer estas marcas de tiempo por sí solo (por ejemplo, chips Micrel, estoy escribiendo un controlador solo para KSZ8463MLI).
Además de las marcas de tiempo, el soporte de hardware también incluye la capacidad de sintonizar un oscilador de cristal (para ecualizar la frecuencia con el maestro) o la capacidad de ajustar el reloj (aumentar el valor del reloj en X ns en cada ciclo). Más sobre eso a continuación.
Pasemos al estándar IEEE 1588.
La norma ya se describe en 289 páginas. Considere el mínimo requerido para implementar el protocolo. PTP es un protocolo de sincronización cliente-servidor, es decir. Se requieren al menos 2 dispositivos para implementar el protocolo. Entonces, el dispositivo maestro es un reloj atómico y el dispositivo esclavo es un reloj que debe funcionar con precisión.
Idioma de intercambio
Anunciar mensaje– mensaje de anuncio, contiene información enviada por el maestro a todos los dispositivos esclavos. El dispositivo esclavo que utiliza este mensaje puede elegir el mejor maestro (existe un algoritmo BMC (Best Master Clock) para esto). BMC no es tan interesante. Este algoritmo se puede encontrar fácilmente en el estándar. La elección se basa en campos de mensaje como precisión, variación, clase, prioridad, etc. Pasemos a otras publicaciones.

Sincronización/seguimiento, DelayResp, PDelayResp/PDelayFollowUp- enviado por el maestro, a continuación los consideraremos con más detalle.

RetardoReq, PDelayReq– solicitudes de dispositivos esclavos.

Como puede ver, el dispositivo Esclavo no es detallado, el Maestro proporciona casi toda la información por sí mismo. El envío se realiza a direcciones multidifusión (si lo desea, puede utilizar el modo Unicast) estrictamente definidas en el estándar. Para PDretraso Los mensajes tienen una dirección separada (01-80-C2-00-00-0E para Ethernet y 224.0.0.107 para UDP). Los mensajes restantes se envían al 01-1B-19-00-00-00 o 224.0.1.129. Los paquetes difieren en los campos. RelojIdentidad(identificación del reloj) y ID de secuencia(identificación del paquete).

Sesión de trabajo
Digamos que el maestro fue seleccionado usando el algoritmo BMC, o el único maestro en la red. La imagen muestra el procedimiento de comunicación entre el dispositivo principal y el sincronizado.

  1. Todo comienza con el Maestro enviando un mensaje. Sincronizar y registra simultáneamente el tiempo de envío t1. Hay modos de operación de una y dos etapas. Es muy fácil distinguirlos: si hay un mensaje hacer un seguimiento- entonces estamos ante una implementación de dos etapas, la flecha punteada muestra mensajes opcionales
  2. hacer un seguimiento el mensaje se envía después Sincronizar y contiene el tiempo t1. Si la transferencia se realiza en una etapa, entonces Sincronizar contiene t1 en el cuerpo del mensaje. En cualquier caso, t1 lo recibirá nuestro dispositivo. Al momento de recibir el mensaje Sincronizar La marca de tiempo t2 se genera en el esclavo. Así obtenemos t1, t2
  3. El esclavo genera un mensaje. Solicitud de retraso simultáneamente con la generación de t3
  4. Maestro recibe Solicitud de retraso mensaje, generando simultáneamente t4
  5. t4 se envía al dispositivo Salve en RetrasoResp mensaje


Mensajes en línea

En una sesión de intercambio de este tipo, como se muestra arriba, sólo se puede tener éxito si el cuarzo genera idealmente las mismas frecuencias para los dispositivos sincronizados. De hecho, resulta que la frecuencia del reloj es diferente, es decir. en un dispositivo, en 1 segundo, el valor del reloj aumentará en 1 segundo, y en el otro, por ejemplo, en 1,000001 segundos. De aquí viene la diferencia horaria.
La norma describe un ejemplo de cálculo de la relación entre el tiempo transcurrido en el Maestro y en el Esclavo durante un intervalo determinado. Esta relación será el coeficiente de la frecuencia del dispositivo esclavo. Pero al mismo tiempo hay indicios de que el ajuste se puede realizar de varias maneras. Consideremos dos de ellos:

  1. Cambiar la frecuencia del reloj del dispositivo Esclavo (ejemplo en el estándar)
  2. No cambie la frecuencia del reloj, pero para cada ciclo de duración T, el valor del reloj aumentará no en T, sino en T + ∆t (usado en mi implementación)
En ambos métodos, será necesario calcular la diferencia de valores de tiempo en el dispositivo Maestro durante un intervalo determinado, así como la diferencia de tiempo para el mismo intervalo en el dispositivo Esclavo. Coeficiente en el primer método:


El segundo método requiere el cálculo de ∆t. ∆t es el valor que se sumará al valor del tiempo cada cierto intervalo. En la figura se puede observar que mientras en el maestro transcurrieron 22 - 15 = 7 segundos, en el esclavo transcurrieron 75 + (87-75) / 2 - (30 + (37-30) / 2) = 47,5 segundos.

Frecuencia: frecuencia del procesador, por ejemplo, 25 MHz; el ciclo del procesador dura 1/(25*10 6) = 40 ns.
Dependiendo de las capacidades del dispositivo, se selecciona el método más apropiado.
Para pasar a la siguiente sección, expresemos el desplazamiento de forma un poco diferente:

Modos de funcionamiento PTP
Si observa el estándar, no podrá encontrar la única forma de calcular el tiempo de entrega. Hay 2 modos de funcionamiento para PTPv2. Este E2E (de extremo a extremo), se consideró anteriormente, el modo también se describe P2P (punto a punto). Averigüemos dónde aplicar qué método y cuál es su diferencia.
En principio, puedes utilizar cualquiera de los modos a tu antojo, pero no se pueden combinar en la misma red.
  • en modo E2E El tiempo de entrega se calcula a partir de los mensajes recibidos a través de múltiples dispositivos, cada uno de los cuales se coloca en el campo de corrección del mensaje. Sincronizar o Hacer un seguimiento(si se transmite en dos etapas) el tiempo durante el cual el paquete se retrasó en este dispositivo (si los dispositivos están conectados directamente, la corrección no se anota, por lo que no los consideraremos en detalle). Mensajes utilizados: Sincronización/Seguimiento, DelayReq/DelayResp
  • en modo P2P En el campo de corrección no solo se ingresa el tiempo durante el cual se retrasó el paquete, sino que se le agrega (t2-t1) (se puede leer en el estándar). Se utilizan mensajes Sincronización/Seguimiento, PDelayReq/PDelayResp/PDelayRespFollowUp
Según el estándar, las horas por las que pasan los mensajes PTP con un cambio en el campo de corrección se denominan Reloj transparente (TC). Veamos en las figuras cómo se transmiten los mensajes en estos dos modos. Los mensajes están marcados con flechas azules. Sincronizar Y hacer un seguimiento.


Modo de extremo a extremo


Modo de igual a igual
Vemos que han aparecido unas flechas rojas en modo P2P. Estos son los mensajes restantes que no hemos considerado, a saber PDelayReq, PDelayResp Y PDelaySeguimiento. Aquí tenéis la sesión de intercambio de estos mensajes:

Error de tiempo de entrega
El estándar describe la implementación del protocolo en varios tipos de redes. Utilicé una red Ethernet y recibí mensajes en la capa Ethernet. En tales redes, el tiempo de entrega de paquetes cambia constantemente (especialmente notable cuando se trabaja con una precisión de nanosegundos). Se aplican varios filtros para filtrar estos valores.

Qué hay que filtrar:

  1. El tiempo de entrega
  2. Inclinación
Mi controlador utiliza aproximadamente el mismo sistema de filtrado que el demonio de Linux. PTPd, cuya fuente se puede encontrar, todavía hay algo de información. Sólo te daré un diagrama:


Filtro LP IIR (paso bajo de respuesta de impulso infinito)(Filtro con respuesta de impulso infinita) descrito por la fórmula:

, Dónde s es un coeficiente que le permite ajustar el límite del filtro.
Cálculo de ajuste
Pasemos a la sintonía, al delta que habrá que sumar al valor del segundo. Esquema de cálculo utilizado en mi sistema:


Utilicé un filtro Kalman para filtrar la fuerte fluctuación de sintonización debida al ruido de la red, realmente me gustó. En general, puedes utilizar cualquier filtro que desees, lo principal es suavizar el gráfico. EN PTPd, por ejemplo, el filtrado es más sencillo: se calcula el promedio de los valores actuales y anteriores. En el gráfico puedes ver los resultados del filtro de Kalman en mi controlador (se muestra el error de sintonización, expresado en subnanosegundos en un chip de 25 MHz):


Pasamos al ajuste del ajuste, el ajuste debe tender a una constante, se utiliza un controlador PI. EN PTPd el desplazamiento del reloj se ajusta (la configuración se realiza según el desplazamiento), pero yo lo uso para ajustar el ajuste (una característica del KSZ8463MLI). Vemos que el controlador no está configurado perfectamente, pero en mi caso este ajuste es suficiente:

El resultado del trabajo.


El resultado se muestra en el gráfico. Desplazamiento del reloj entre -50 ns y 50 ns. De esta manera logré la precisión que se menciona en numerosos artículos. Por supuesto, muchas pequeñas características de la implementación quedaron detrás de escena, pero se demostró el mínimo necesario.

65 nanómetros es el próximo objetivo de la planta Angstrem-T de Zelenograd, que costará entre 300 y 350 millones de euros. La empresa ya ha presentado una solicitud de préstamo blando para la modernización de las tecnologías de producción al Vnesheconombank (VEB), informó Vedomosti esta semana citando a Leonid Reiman, presidente del consejo de administración de la planta. Ahora Angstrem-T se está preparando para lanzar una línea para la producción de chips con topología de 90 nm. Los pagos del préstamo anterior del VEB, para el cual fue adquirido, comenzarán a mediados de 2017.

Beijing colapsó Wall Street

Los principales índices estadounidenses marcaron los primeros días del nuevo año con una caída récord; el multimillonario George Soros ya advirtió que el mundo está esperando que se repita la crisis de 2008.

Se lanza a la producción en masa el primer procesador de consumo ruso Baikal-T1 a un precio de 60 dólares

La compañía Baikal Electronics promete a principios de 2016 lanzar a la producción industrial el procesador ruso Baikal-T1, valorado en unos 60 dólares. Los dispositivos tendrán demanda si esta demanda es creada por el Estado, dicen los participantes del mercado.

MTS y Ericsson desarrollarán e implementarán conjuntamente 5G en Rusia

PJSC "Mobile TeleSystems" y Ericsson firmaron acuerdos de cooperación en el desarrollo y la implementación de la tecnología 5G en Rusia. En proyectos piloto, también durante el Mundial de 2018, MTS pretende probar los desarrollos del proveedor sueco. A principios del próximo año, el operador iniciará un diálogo con el Ministerio de Telecomunicaciones y Comunicaciones Masivas sobre la formación de requisitos técnicos para la quinta generación de comunicaciones móviles.

Sergey Chemezov: Rostec ya es una de las diez corporaciones de ingeniería más grandes del mundo

En una entrevista con RBC, el jefe de Rostec, Sergey Chemezov, respondió preguntas candentes: sobre el sistema Platon, los problemas y perspectivas de AVTOVAZ, los intereses de la corporación estatal en el negocio farmacéutico, habló sobre la cooperación internacional bajo presión de sanciones, importación sustitución, reorganización, estrategias de desarrollo y nuevas oportunidades en tiempos difíciles.

Rostec está "protegida" y se invade los laureles de Samsung y General Electric

El Consejo de Supervisión de Rostec aprobó la "Estrategia de desarrollo hasta 2025". Las principales tareas son aumentar la proporción de productos civiles de alta tecnología y alcanzar a General Electric y Samsung en indicadores financieros clave.

09/07/2012, lunes, 10:07, Msk

El principal problema de las redes de transporte de próxima generación es que la tecnología Ethernet se diseñó originalmente para redes de área local y nunca para transmitir señales de sincronización. En las redes de conmutación de circuitos de las últimas décadas domina como medio de transporte la tecnología de jerarquía digital síncrona (SDH), que se basa en la transmisión de señales síncronas. Pero incluso esta tecnología robusta y bien establecida no cumple con los requisitos de las aplicaciones actuales.

páginas: anterior | | 2

Usando Ethernet de sincronización

Inicialmente, la tecnología Ethernet se desarrolló exclusivamente para su uso en redes de área local. Los métodos de codificación lineal de información a nivel físico se eligieron de acuerdo con las tareas que no implicaban la transmisión de una señal de reloj. Las redes SDH utilizaban originalmente códigos de línea NRZ, que están adaptados para transmitir sincronización en la capa física del canal de comunicación. Al crear la tecnología Sync Ethernet, la capa física y los métodos de codificación se tomaron prestados de la tecnología SDH, y la segunda capa (canal) prácticamente no se vio afectada. La estructura del telegrama permanece sin cambios, a excepción del byte SSM del estado de sincronización. Sus significados también fueron tomados de la tecnología SDH.


El principio de transmisión sincronizada a través del protocolo Sync Ethernet.

Las ventajas de la tecnología Sync Ethernet incluyen el uso de la estructura SDH de la capa física y, al mismo tiempo, una experiencia enorme e invaluable en el diseño y construcción de redes de sincronización de relojes en red. La identidad de los métodos ha mantenido las antiguas recomendaciones G.803, G.804, G.811, G.812 y G.813 relevantes en la nueva tecnología. Los dispositivos costosos (osciladores de referencia primarios (PEG), osciladores maestros secundarios (SGM)) también se pueden utilizar en la nueva red de transporte basada en el estándar Sync Ethernet.


Esquema de sincronización típico utilizando la tecnología Sync Ethernet

Las desventajas incluyen el hecho de que en toda la red de transmisión, cada dispositivo debe admitir el nuevo estándar, y si permanece en la línea un dispositivo que no admite Sync Ethernet, entonces todos los dispositivos detrás de este nodo no pueden funcionar en modo síncrono. En consecuencia, se requieren grandes costos de material para actualizar toda la red. Además, las desventajas incluyen que este método solo admite la transmisión de sincronización de frecuencia.

Usando el protocolo PTP (IEEE1588v2)

Y la última forma de transferir sincronización, que se ha vuelto cada vez más popular últimamente, es el Protocolo de tiempo preciso (PTP). Se describe en la recomendación IEEE 1588. En 2008, se publicó la segunda versión de este documento, que describe el uso del protocolo en redes de telecomunicaciones. Precise Time Protocol es bastante joven, pero la tecnología de transferencia de tiempo en sí se tomó prestada del protocolo Network Time Portocol (NTP). El protocolo NTP en su última versión no proporciona la precisión necesaria para las aplicaciones actuales, por lo que sigue siendo una buena herramienta para la sincronización horaria, que se utiliza ampliamente en la sincronización de servidores, bases de datos distribuidas, etc. Pero al construir una red de sincronización de reloj, una continuación lógica del protocolo NTP es adecuada: este es el protocolo PTP. Los elementos de red que participan en la comunicación PTP son los siguientes dispositivos: PTP Grand Master y PTP Slave. Por lo general, el Gran Maestro toma la sincronización del receptor GNSS y, utilizando esta información, intercambia paquetes con el dispositivo Esclavo y corrige constantemente las discrepancias de sincronización entre los dispositivos Gran Maestro y Esclavo. Cuanto más activo sea este intercambio, mayor será la precisión del ajuste. La desventaja de un intercambio tan activo es un aumento en el ancho de banda asignado para el protocolo PTP. El problema más importante al calcular la discrepancia del intervalo de tiempo es que los enrutadores "clásicos" de capa 3 pueden ubicarse entre los dispositivos Grand Master y Slave. El término "clásico" en este caso se utiliza para enfatizar que estos dispositivos no entienden nada del protocolo PTP de capa 5.

Los retrasos en los buffers de dichos enrutadores son difíciles de gestionar y son de naturaleza aleatoria. Para controlar estos errores aleatorios, así como para que el cálculo de la diferencia horaria entre el Gran Maestro y el Esclavo sea más preciso, se introdujo un parámetro especial en el protocolo PTP: la marca de tiempo (Time Stamp). Esta etiqueta indica el tiempo que tardó el paquete en pasar por el enrutador. Si todos los enrutadores desde Grand Master hasta Slave tienen funcionalidad PTP y establecen una marca de tiempo, entonces se puede minimizar el error aleatorio asociado con el paso de paquetes PTP a través de la red IP.


Un ejemplo de construcción de una red de sincronización en el protocolo PTP

Comparación de métodos de transferencia de sincronización en redes de paquetes de nueva generación.

La funcionalidad PTP en los enrutadores es opcional, pero se recomienda encarecidamente cuando se utiliza el protocolo PTP. Cabe destacar que la mayoría de fabricantes de routers incluyen esta funcionalidad en sus dispositivos. En la siguiente figura se muestra un ejemplo de cómo crear un esquema de sincronización para un operador de telefonía móvil. La ventaja de PTP es que el protocolo está orientado a transferir los tres tipos de sincronización: frecuencia, fase y tiempo. La principal desventaja del protocolo es la dependencia de la carga. Con una congestión en las redes IP que es difícil de gestionar, es muy difícil garantizar el cumplimiento estricto de las normas de transmisión de sincronización a través de la red.

Tecnología Ventajas Defectos
GNSS Proporciona sincronización de frecuencia, fase y tiempo.
No depende de la carga de la red.
Instalación obligatoria de una antena. No se puede utilizar en interiores. Posible interferencia de otros dispositivos de radio. La redundancia se proporciona únicamente instalando un segundo receptor GNSS
Sincronizar Ethernet No depende de la carga de la red. Similitud con la red SDH Proporciona únicamente sincronización de frecuencia. Soporte de sincronización Ethernet requerido por todos los elementos de la red.
PTP Proporciona sincronización de frecuencia, fase y tiempo. Depende de la carga de la red.

Cada uno de los métodos tiene sus propias ventajas y desventajas, que se muestran en la tabla. Para determinar el enfoque correcto, se recomienda tener en cuenta muchos criterios específicos de diferentes redes.

Mijaíl Vekselman

páginas: anterior | | 2

En 2005 se inició el trabajo de cambio del estándar IEEE1588-2002 con el fin de ampliar sus posibles áreas de aplicación (telecomunicaciones, comunicaciones inalámbricas, etc.). El trabajo dio como resultado una nueva edición de IEEE1588-2008, que está disponible a partir de marzo de 2008 con las siguientes características nuevas:

  • Algoritmos mejorados para garantizar errores en el rango de nanosegundos.
  • Rendimiento de sincronización de tiempo mejorado (es posible una transmisión más frecuente de mensajes de sincronización).
  • Soporte para nuevos tipos de mensajes.
  • Introducción de un principio de funcionamiento monomodo (no se requiere la transmisión de mensajes del tipo FollowUp).
  • Ingresando soporte para la función del llamado. Reloj transparente para evitar la acumulación de errores de medición en el caso de una conexión en cascada de interruptores.
  • Ingrese perfiles que definen configuraciones para nuevas aplicaciones.
  • Asignable a mecanismos de transporte como DeviceNet, PROFInet e IEEE802.3/Ethernet (asignación directa).
  • Introducción de la estructura TLV (tipo, longitud, valor) para ampliar el posible alcance de la norma y satisfacer necesidades futuras.
  • Introducción de extensiones opcionales adicionales de la norma.

El principio de funcionamiento de los sistemas basados ​​​​en el protocolo PTP.

En los sistemas que utilizan el protocolo PTP, existen dos tipos de relojes: el reloj maestro y el reloj esclavo. Idealmente, el reloj maestro se controla mediante radio reloj o receptores GPS y sincroniza los relojes esclavos. El reloj del dispositivo final, ya sea maestro o esclavo, se considera un reloj normal; Los relojes en dispositivos de red que realizan la función de transmitir y enrutar datos (por ejemplo, en conmutadores Ethernet) se consideran relojes límite.

Arroz. 1. Según el protocolo PTP, la sincronización horaria de los dispositivos se realiza según el esquema "maestro-esclavo".

El procedimiento de sincronización según el protocolo PTP se divide en dos etapas. En la primera etapa, se corrige la diferencia en las lecturas de hora entre los relojes maestro y esclavo, es decir, se lleva a cabo la llamada corrección de compensación horaria. Para hacer esto, el dispositivo maestro envía un mensaje con fines de sincronización horaria Sync al dispositivo esclavo (mensaje de tipo Sync). El mensaje contiene la hora actual del reloj maestro y se transmite periódicamente a intervalos fijos.

Sin embargo, dado que lleva algún tiempo leer el reloj maestro, procesar los datos y transmitir a través del controlador Ethernet, la información del mensaje transmitido ya no está actualizada en el momento en que se recibe. Al mismo tiempo, el momento en el que el mensaje de sincronización sale del remitente, que incluye el reloj maestro (TM1), se fija con la mayor precisión posible. A continuación, el dispositivo maestro envía la hora fijada de transmisión del mensaje Sync a los dispositivos esclavos (mensaje de seguimiento). También miden el tiempo de recepción del primer mensaje (TS1) con la mayor precisión posible y calculan la cantidad en la que es necesario corregir la diferencia en las lecturas de tiempo entre ellos y el maestro, respectivamente (O) (ver Fig. 1 y Fig. 2). Luego, las lecturas del reloj en los dispositivos esclavos se corrigen directamente mediante el valor de compensación. Si no hubo retrasos en la transmisión de mensajes a través de la red, entonces se puede argumentar que los dispositivos están sincronizados a tiempo.

Arroz. 3. Cálculo del tiempo de retardo de mensajes en conmutadores.

El retraso en la transmisión de mensajes en ambas direcciones será idéntico si los dispositivos están conectados entre sí a través de una sola línea de comunicación. Si hay conmutadores o enrutadores en la red entre dispositivos, entonces no habrá un retraso simétrico en la transmisión de un mensaje entre dispositivos, ya que los conmutadores en la red almacenan los paquetes de datos que pasan a través de ellos y un cierto orden de su transmisión. está implementado. Esta característica puede, en algunos casos, afectar significativamente la cantidad de retraso en la transmisión de mensajes (son posibles diferencias significativas en los tiempos de transmisión de datos). Con una carga de información baja en la red, este efecto tiene poco efecto; sin embargo, con una carga de información alta, este efecto puede afectar significativamente la precisión de la sincronización horaria. Para eliminar grandes errores, se propuso un método especial y se introdujo el concepto de relojes límite, que se implementan como parte de los conmutadores de red. Este reloj límite está sincronizado en el tiempo con el reloj del dispositivo maestro. Además, el conmutador de cada puerto es el dispositivo maestro de todos los dispositivos esclavos conectados a sus puertos, en los que se realiza la correspondiente sincronización del reloj. Por tanto, la sincronización siempre se realiza según el esquema punto a punto y se caracteriza por casi el mismo retraso en la transmisión de un mensaje en dirección directa e inversa, así como por la invariabilidad práctica de este retraso en magnitud de uno transmisión de mensajes a otro.

Aunque el principio basado en el uso del reloj límite ha demostrado su efectividad práctica, en la segunda versión del protocolo PTPv2 se definió otro mecanismo: el mecanismo de uso del llamado. reloj transparente. Este mecanismo evita la acumulación de errores debido a cambios en la cantidad de retrasos en la transmisión de mensajes de sincronización por parte de los conmutadores y evita la disminución de la precisión de la sincronización en el caso de una red con una gran cantidad de conmutadores en cascada. Con este mecanismo, los mensajes de sincronización se envían del maestro al esclavo, como cualquier otro mensaje en la red. Sin embargo, cuando el mensaje de sincronización pasa a través del conmutador, el retraso en su transmisión por parte del conmutador es fijo. El retraso se fija en un campo de corrección especial como parte del primer mensaje de sincronización o como parte del mensaje de seguimiento posterior (consulte la Fig. 2). Al transmitir mensajes de Solicitud de retraso y Respuesta de retraso, el tiempo de su retraso en el cambio también es fijo. Así, la implementación del apoyo a los llamados. Los relojes transparentes como parte de los interruptores le permiten compensar los retrasos que ocurren directamente en ellos.

Implementación del protocolo PTP.

Si es necesario utilizar el protocolo PTP en el sistema, se debe implementar la pila de protocolos PTP. Esto se puede hacer con requisitos mínimos de rendimiento del procesador del dispositivo y ancho de banda de la red. Esto es muy importante para la implementación de la pila de protocolos en dispositivos simples y económicos. El protocolo PTP se puede implementar fácilmente incluso en sistemas integrados con controladores económicos (32 bits).
El único requisito que debe cumplirse para garantizar una alta precisión de sincronización es la medición más precisa por parte de los dispositivos del momento en que se transmite el mensaje y el momento en que se recibe el mensaje. La medición debe realizarse lo más cerca posible del hardware (por ejemplo, directamente en el controlador) y con la mayor precisión posible. En implementaciones de software puro, la arquitectura y el rendimiento del sistema limitan directamente la precisión máxima permitida.

Al utilizar soporte de hardware adicional para el sellado de tiempo, la precisión se puede mejorar enormemente y puede ser prácticamente independiente del software. Esto requiere el uso de lógica adicional, que puede implementarse en un circuito integrado lógico programable o en un circuito integrado especializado para resolver una tarea específica en la entrada de la red.

conclusiones

El protocolo PTP ya ha demostrado su eficacia en muchos ámbitos. Puede estar seguro de que se generalizará en los próximos años y que muchas soluciones que lo utilicen se podrán implementar de forma más sencilla y eficiente que utilizando otras tecnologías.

Equipo KYLAND compatible con IEEE 1588v2

tecnologías

S. Telegin

Protocolo PTP para sincronizar redes NGN

problemas de aplicación

EN El artículo aborda el problema de la sincronización de las redes de datos de próxima generación (NGN). EN

El autor propone utilizar el protocolo PTP como método alternativo de transferencia de sincronización. Las características de los sistemas de sincronización basados ​​en el protocolo PTP (IEEE 1588) se comparan con los sistemas que utilizan el bus PXI, así como con el protocolo NTP.

Problema de sincronización en redes NGN

El desarrollo de las tecnologías de telecomunicaciones y de las redes de transmisión de datos está conduciendo gradualmente a la construcción de redes convergentes de próxima generación (NGN - Next Generation Networks) por parte de los operadores de telecomunicaciones. La principal diferencia entre estas redes y las redes tradicionales con jerarquía digital síncrona (SDH) es que utilizan tecnologías asíncronas como Ethernet (Gigabit Ethernet, 10Gigabit Ethernet) para la transmisión de datos troncales junto con canales síncronos convencionales. El principal requisito de los operadores de telecomunicaciones para las redes de próxima generación es la transmisión simultánea de voz, vídeo y datos a través de una única red.

En la transición de las redes tradicionales de transmisión de datos basadas en multiplexación temporal a las redes NGN, se presta especial atención a la transmisión de señales de sincronización. La sincronización de los equipos es necesaria principalmente para la transmisión sin errores de datos en tiempo real: imágenes de voz y vídeo. Dado que las redes Ethernet utilizan conmutación de paquetes que, debido a las propiedades estadísticas de la propagación de paquetes de datos a través de canales de transmisión asíncronos, destruye el flujo de datos inicialmente sincronizado, la transmisión sincronizada en las redes NGN es una tarea separada. Para transmitir datos síncronos a través de redes de conmutación de paquetes, se suele utilizar la emulación de canal con multiplexación de tiempo, que consiste en encapsular datos síncronos en datagramas UDP y luego restaurarlos en el nodo de destino.

PRIMERA MIL 5–6/2009

Para recuperar sin errores los datos transmitidos en la unión de los canales asíncronos y síncronos, el equipo también debe recibir una señal de reloj. Los requisitos para la estabilidad de la señal del reloj varían según el propósito específico de la red de datos. Así, en las redes de operadores para la prestación de servicios de telefonía y acceso a Internet, los requisitos de sincronización son bastante leves: 50 ppm (unidades por millón), y en las redes celulares, para una transición perfecta de los suscriptores móviles de una estación base a otra, la estabilidad de Se requieren 50 ppb (unidades por millón).

Formas de sincronizar redes NGN

La Recomendación UIT-T G.8261 analiza tres métodos principales para la resincronización en los bordes de un medio de transporte con conmutación de paquetes cuando la señal de banda base multiplexada en el tiempo se transporta en él como un servicio de emulación de circuito. Para ello, el equipo de la estación terminal debe estar dotado de funciones de interconexión en red. Todos los suscriptores del medio de transporte de conmutación de paquetes pueden recibir la frecuencia de reloj de la red de sincronización a través de la distribución centralizada habitual (Fig. 1). Si el equipo del usuario opera a su propia frecuencia de reloj (Fig. 2), entonces en el borde de la red de conmutación de paquetes se restaura de varias maneras relativas, por ejemplo, utilizando el algoritmo de coincidencia de velocidad SRTS. En ambos casos, el nodo de puerta de enlace debe tener acceso a la interfaz con el generador de sincronización principal.

tecnologías

zación (RPC). Para ello, el operador de la red NGN debe construir una red de sincronización separada o alquilarla a los operadores de redes de transporte SDH existentes.

Hay muchos ejemplos de sincronización de hardware local. Así, por ejemplo, se coloca una fuente de sincronización primaria (PRS) económica basada en GPS en la sala de la estación y desde ella se distribuye la frecuencia del reloj mediante tecnologías inalámbricas o mediante cables dedicados convencionales, en el entorno físico Ethernet, así como utilizando otros esquemas originales. Si la construcción de una red de sincronización (o el uso de juntas de sincronización) es imposible o indeseable, entonces se utiliza el método adaptativo más simple, pero problemático por razones de estabilidad, para igualar las velocidades de recepción y transmisión (Fig. 3).

Los resultados de los estudios muestran que el método adaptativo se puede utilizar si el suscriptor no impone requisitos estrictos sobre la estabilidad de su frecuencia de reloj; de lo contrario, se requiere un suavizado de hardware adicional de la señal de reloj recuperada. Una alternativa al método adaptativo es utilizar el protocolo RTP al encapsular datos multiplexados en el tiempo en paquetes de datos asíncronos (Fig. 4). Como han demostrado los experimentos, en este caso, con una alta estabilidad de la señal del reloj restaurada, el equipo resulta ser débilmente sensible a los cambios de frecuencia en la fuente del reloj, lo cual es necesario, por ejemplo, en redes celulares cuando se cambia a una copia de seguridad. señal de reloj.

protocolo PTP

La siguiente etapa de desarrollo, aparentemente, será una transmisión separada de señales de sincronización de red con conmutación de paquetes con

utilizando protocolos especialmente diseñados (Fig. 5). Por el momento, estos son los protocolos NTP y PTP. Estos protocolos se crearon originalmente para sincronizar la hora en varios dispositivos de red, pero si el reloj se sincroniza correctamente, también es posible implementar algoritmos de sincronización del reloj para restaurar datos en tiempo real. El protocolo NTP (Protocolo de hora de red) se utiliza ampliamente para sincronizar la hora actual en la capa de aplicación. Por el contrario, el protocolo de tiempo de precisión (PTP) opera en la segunda capa del modelo de interconexión de sistemas abiertos (OSI). El protocolo PTP se describe en el estándar IEEE 1588. Se espera que en el futuro PTP se pueda utilizar tanto para la sincronización de la hora actual de alta precisión como para la sincronización del reloj del equipo. Consideremos este protocolo con más detalle.

El estándar IEEE 1588 sugiere que el protocolo PTP proporciona un método estándar para sincronizar dispositivos en una red con una precisión mejor que 1 µs (hasta 10 ns). Este protocolo mantiene los dispositivos esclavos sincronizados desde el maestro, asegurando que los eventos y marcas de tiempo en todos los dispositivos utilicen la misma base de tiempo. El protocolo proporciona dos pasos para la sincronización de dispositivos:

Arroz. 3 sincronización adaptativa

Fig.4

Transferir sincronización usando RTP

Fig.5

Transferir sincronización mediante PTP

PRIMERA MIL 5–6/2009

Arroz. 6 algoritmo de operación PTP

dispositivo maestro (1) y corrección de la desviación horaria provocada por el desfase del reloj en cada dispositivo y retrasos en la transmisión de datos a través de la red (2). Durante la inicialización del sistema, PTP utiliza un algoritmo de "mejor reloj maestro" para determinar la fuente de sincronización más precisa en la red. Dicho dispositivo se convierte en maestro y todos los demás dispositivos de la red se convierten en esclavos y ajustan sus relojes de acuerdo con el maestro.

La diferencia horaria entre maestro y esclavo es una combinación de compensación de reloj y retraso en la transmisión del mensaje de sincronización. Por lo tanto, la corrección del desfase horario debe realizarse en dos pasos: cálculo de los retrasos y el desfase de transmisión, y luego su corrección. Considere la secuencia de sincronización del reloj de dos dispositivos (Fig. 6).

El maestro inicia la corrección del desplazamiento del reloj mediante mensajes de sincronización y seguimiento. El mensaje de seguimiento indica la hora del mensaje de sincronización (TM1) medida más cerca del medio de transmisión para minimizar el error de tiempo de la fuente de referencia. Después de que el dispositivo esclavo recibe los primeros mensajes de sincronización y de seguimiento, utiliza su reloj para marcar la hora de llegada del mensaje de sincronización (TS1) y compara esta marca de tiempo con la recibida del maestro en el mensaje de seguimiento. La diferencia entre estas dos marcas refleja el desplazamiento del reloj T0 más el retardo de transmisión del mensaje del maestro al esclavo ∆TMS: TS1  – TM1  = T0  + ∆TMS.

Para calcular el tiempo de retardo de transmisión del mensaje y el desplazamiento del reloj, el esclavo envía un mensaje Delay_request con su hora TS2. El maestro nota la llegada de este mensaje y responde con un mensaje Delay_response denominado TM2. La diferencia entre las dos marcas es el retardo de transmisión de esclavo a maestro ∆TSM menos el desplazamiento en la muestra de esclavo: TM2  – TS2  =∆TSM  – T0.

Al calcular el retraso en la transmisión del mensaje, se supone que el retraso promedio en la transmisión de datos en el canal es

sobre la media aritmética de los retardos de propagación en diferentes direcciones del canal:

TMS + TSM

Conociendo los tiempos TS1, TM1, TM2 y TS2, el esclavo calcula el retardo medio de propagación en el enlace de datos:

T = (TS1 − TM1 ) + (TM2 − TS2 ). 2

La sincronización final del reloj se realiza después de que el maestro envía el segundo conjunto de mensajes de sincronización (TS3) y de seguimiento (TM3). El dispositivo esclavo calcula su compensación de reloj usando la fórmula T0  = TS3  – TM3  – ∆T.

Luego, el dispositivo esclavo ajusta su reloj de acuerdo con los valores calculados. Dado que las referencias de reloj en cada dispositivo son inestables y los retrasos de los canales pueden cambiar con el tiempo, es necesario reajustar periódicamente el reloj esclavo.

Características de la implementación del protocolo PTP.

La mayoría de las implementaciones de PTP tienen una desviación de menos de 1 µs; sin embargo, la precisión real depende de la aplicación. El protocolo PTP en los dispositivos se implementa de tres formas: software, firmware y hardware. Las implementaciones de software de RTP permiten la transmisión de señales de sincronización con una precisión de aproximadamente 100 μs. Para lograr una mayor precisión, se debe utilizar hardware. Cada componente que procesa un paquete PTP después de recibirlo del medio físico aumenta el error de sincronización. La parte de software introduce el mayor error, ya que la carga del procesador y el retraso asociado con el procesamiento de interrupciones afectan la velocidad de procesamiento de la solicitud de sincronización.

En una implementación de hardware-software, las funciones de protocolo más sensibles, como escribir una marca de tiempo de paquete PTP, se implementan en la capa física de Ethernet, por ejemplo, en un chip lógico programable separado. Estos métodos son los más óptimos en la actualidad, ya que no requieren demasiados recursos ni tiempo para desarrollar el dispositivo, lo que permite alcanzar una precisión de aproximadamente 20 ns. En el caso de una implementación hardware completa del protocolo PTP, se puede lograr una precisión de aproximadamente 10 ns.

Además del método de implementación, otros factores afectan la precisión del protocolo PTP. Por ejemplo, el estándar IEEE 1588 no especifica la frecuencia de reloj maestro y esclavo. Como resultado, los relojes de menor frecuencia tendrán una resolución de tiempo menos precisa, lo que dará como resultado marcas de tiempo menos precisas en los mensajes del reloj. La estabilidad de frecuencia de los osciladores de referencia también afecta la calidad de la implementación del protocolo. Las señales de sincronización obtenidas utilizando osciladores de cuarzo con temperatura controlada y con temperatura compensada serán más estables (de -

PRIMERA MIL 5–6/2009

tecnologías

desviación en partes por mil millones) en lugar de osciladores de cristal

alternativa para sincronizar sistemas distribuidos

sin estabilización térmica (desviación en ppm).

temas con precisión de submicrosegundos.

topo-

Entonces el protocolo PTP es una alternativa

lógica de red y uniformidad del tráfico. En una red con un gran número

método de sincronización de redes, que puede obtener

Dispositivos de desecho y alta carga de canales de datos.

distribución en redes NGN. En comparación con los utilizados en

la precisión de la traducción temporal será peor. Por lo tanto, para

actualmente mediante sincronización, este método

Preferentemente se utiliza la transmisión de señales de sincronización.

tiene una serie de ventajas:

establecer una red de datos separada.

No se requiere acceso al equipo directamente a la interfaz de sincronización.

reduciendo el PRC, lo que permitirá a los operadores optimizar la

Características comparativas

Costos de construcción de la red. Al mismo tiempo, el protocolo PTP puede proporcionar

sistemas de sincronización

sincronización de transmisión del horno con precisión de submicrosegundos

Considere las características de los sistemas de sincronización utilizando

tew, lo que significa que se puede lograr una estabilidad superior a 1 ppm;

utilizando el protocolo PTP, en comparación con sistemas con sincronización

A diferencia del método adaptativo, para restaurar la sincronización

sobre el bus PXI (línea de sincronización física) y sobre el protocolo

La ronización requiere un oscilador de referencia altamente estable

lu NTP (ver tabla). A diferencia de los sistemas con línea física

sólo en el dispositivo maestro;

sincronización, donde la precisión de los eventos está determinada por la precisión

Para tareas de sincronización, puede utilizar asíncrono

señal de reloj, en el protocolo PTP los factores determinantes son

canal con un ancho de banda relativamente pequeño

hay fluctuación de fase (jitter) asociada con un cambio aleatorio

rendimiento, lo que reduce significativamente el coste de implementación.

nie intervalos entre paquetes. La mayoría de las implementaciones de protocolos

Preferiblemente, este canal debería ser dedicado.

Cola PTP proporciona una precisión de menos de 1 μs.

Teniendo en cuenta la facilidad de despliegue de redes

Otro valor importante que distingue los diferentes métodos.

Ethernet, precisión y rendimiento por debajo del microsegundo

sincronización, es el tiempo de espera para un evento de sincronización

con costos mínimos de procesamiento de mensajes, protocolos

tiya. Este es el tiempo entre el envío de un evento al maestro.

count PTP se utiliza cada vez más en muchas industrias, especialmente

el tuyo y hacer que lo guíen. Debido a que los protocolos PTP y

en automatización industrial, metrología, etc. esperando-

NTP para enviar mensajes de sincronización usando

Xia que en el futuro se ampliarán las capacidades del protocolo PTP

ut paquetes de datos, la espera de un evento está determinada por el tiempo

Aplicación en telecomunicaciones para sincronización de dispositivos.

Latencia de paquetes más transmisión de encabezado y tiempo de procesamiento.

roystvo sobre redes de conmutación de paquetes.

paquete y suele ser de unos pocos milisegundos. EN

diferencia de ellos sistemas con una línea de sincronización física

Literatura

esperando un evento de sincronización durante varios

1. Stein Y., Schwartz E. Extensión de circuito sobre IP: el

nanosegundos. Opción de tiempo de espera de evento de sincronización

Enfoque evolutivo para transportar voz y legado

determina tal característica como la máxima posible

Datos sobre Redes IP. – Comunicaciones de datos RAD, 2002.

Ajuste de frecuencia de la señal del reloj.

2. ITU-T G.8261/Y.1361 Temporización y sincronización

Sistemas de sincronización con un único bus de sincronización,

aspectos en redes de paquetes. – UIT_T, abril de 2008.

como PXI son ideales para alta precisión y rapidez.

3. Rodrigues S. Opciones tecnológicas para la entrega de sincronización en

stnogo restauración de la sincronización y se puede ampliar

Redes de próxima generación. – Tercera Telecomunicaciones Internacional

reyezuelo a distancias de hasta cientos de metros con la ayuda de especial

Módulos de sincronización colocados en casetes. Estándar-

4. Telegín S.A. Aplicación de la multiplexación TDMoIP

Estrecha sincronización a través de Ethernet usando NTP

para la transmisión de datos en redes de transporte

establece el tiempo de milisegundos apropiado para

GSM. – Mundo no lineal, 2007, v.5, no.5, p. 270–271.

aplicaciones de baja velocidad, no muy críticas para la calidad

5. Estándar IEEE. Estándar IEEE 1588–2008 para precisión

sincronización. El protocolo PTP es una buena

Protocolo de sincronización de reloj para redes

Características comparativas de los sistemas de sincronización.

Sistemas de Medición y Control. – IEEE, julio de 2008.

6. Protocolo de tiempo de red IETF RFC1305 (Versión 3).

Sincronizando

Protocolo

Protocolo

Especificación, Implementación y Análisis. – IETF,

módulos en autobuses PXI

Temporario

<1·107

7. Tan E. Tiempo de protocolo de tiempo de precisión IEEE 1588

permiso

eventos, n.

Rendimiento de sincronización. Nota de Aplicación 1728.–

Semiconductor Nacional, octubre de 2007.

Expectativas

8. Hamdi M. Neagoe T. A Hardware IEEE-1588

Implementación con Control de Frecuencia del Procesador. -

ajustes

Arrow Electronics, agosto de 2006.

PRIMERA MIL 5–6/2009